diff --git a/modules.sample b/modules.sample index 74fdb56..08692af 100644 --- a/modules.sample +++ b/modules.sample @@ -15,6 +15,8 @@ wct4xxp # Digium TE435 # Digium TE235 +# Digium TE436 +# Digium TE236 wcte43x # Digium TE120P: PCI single-port T1/E1/J1 diff --git a/xpp/perl_modules/Dahdi/Hardware/PCI.pm b/xpp/perl_modules/Dahdi/Hardware/PCI.pm index 356fda7..aa4de51 100644 --- a/xpp/perl_modules/Dahdi/Hardware/PCI.pm +++ b/xpp/perl_modules/Dahdi/Hardware/PCI.pm @@ -105,6 +105,7 @@ my %pci_ids = ( # from wcte435/235 'd161:800e' => { DRIVER => 'wcte43x', DESCRIPTION => 'Wildcard TE435/235' }, + 'd161:8013' => { DRIVER => 'wcte43x', DESCRIPTION => 'Wildcard TE436/236' }, # from wcb4xxp 'd161:b410' => { DRIVER => 'wcb4xxp', DESCRIPTION => 'Digium Wildcard B410P' },